public static function unit_bts()
 {
     $result = plato_version_D3_template::bit(0xfab, 0xfba);
     $result[1] = parent::$alu->bit_set($result[1], 1);
     parent::$register->CF = $result[0];
     parent::$cpu->write_address($result[1]);
     return 0;
 }